วิธีจำลองด้วย ModelSim - Altera

สารบัญ:

วิธีจำลองด้วย ModelSim - Altera
วิธีจำลองด้วย ModelSim - Altera

วีดีโอ: วิธีจำลองด้วย ModelSim - Altera

วีดีโอ: วิธีจำลองด้วย ModelSim - Altera
วีดีโอ: How to setup Native link for Simulation using Altera Quartus II tool 2024, อาจ
Anonim

เราเขียนโมดูล testbench และเรียกใช้การจำลองในสภาพแวดล้อม ModelSim จาก Altera

เครื่องมือ Altera ModelSim
เครื่องมือ Altera ModelSim

จำเป็น

  • - คอมพิวเตอร์;
  • - ติดตั้งสภาพแวดล้อมการพัฒนา Quartus II + ModelSim

คำแนะนำ

ขั้นตอนที่ 1

ขั้นตอนแรกคือตรวจสอบให้แน่ใจว่าได้ระบุพาธไปยังเครื่องมือ ModelSim ในสภาพแวดล้อมการพัฒนา Quartus II ในการดำเนินการนี้ ให้เปิดเมนูเครื่องมือ -> ตัวเลือก ในตัวเลือก ให้ไปที่ ทั่วไป -> ตัวเลือกเครื่องมือ EDA เราพบฟิลด์ ModelSim-Altera และพิมพ์ C: / altera / 13.0sp1 / modelsim_ase / win32aloem หรือโดยการคลิกที่ปุ่มที่มีจุดสามจุด เราจะค้นหาไดเร็กทอรีนี้บนคอมพิวเตอร์ของเรา โดยปกติสำหรับ Quartus รุ่นอื่นที่ไม่ใช่ของฉัน คุณจะมีเส้นทางของคุณเองไปยังไดเร็กทอรี "win32aloem"

การระบุเส้นทางไปยังเครื่องมือจำลอง ModelSim
การระบุเส้นทางไปยังเครื่องมือจำลอง ModelSim

ขั้นตอนที่ 2

คุณมีโครงการสำหรับ FPGA ใน Quartus II วิธีเขียนการทดสอบหรือ testbenches (testbench) - นี่คือหัวข้อสำหรับบทความแยกต่างหาก ในตอนนี้ สมมติว่า testbench ของคุณถูกเขียนไว้แล้ว ตอนนี้ คุณต้องบอกสภาพแวดล้อมการพัฒนาว่าคุณต้องการใช้การทดสอบใดในการจำลอง ในการดำเนินการนี้ ให้เปิดการตั้งค่าผ่านเมนู Assignements -> Settings … ในหน้าต่างที่เปิดขึ้น ในส่วนการตั้งค่าเครื่องมือ EDA -> การจำลอง ให้คลิกปุ่ม Test Benches … โดยวิธีนี้คุณสามารถตั้งค่าได้ การทดสอบหลายรายการและเปลี่ยนไปใช้การทดสอบที่จำเป็นเมื่อรวบรวมโครงการ

การตั้งค่าพารามิเตอร์การจำลอง
การตั้งค่าพารามิเตอร์การจำลอง

ขั้นตอนที่ 3

หน้าต่างสำหรับการทดสอบการแก้ไขได้เปิดขึ้น เรายังไม่ได้สร้างม้านั่งทดสอบ ดังนั้นรายการจึงว่างเปล่า คลิกปุ่มใหม่ … ในหน้าต่างที่เปิดขึ้น คุณต้องตั้งค่าการทดสอบ

ทางด้านซ้ายของฟิลด์ ให้คลิกที่ปุ่มที่มีจุดสามจุด เลือกไฟล์ที่มีรหัส testbench แล้วคลิกเปิด ตอนนี้คลิกปุ่มเพิ่ม การทดสอบปรากฏในรายการการทดสอบ

หลังจากนั้น ในฟิลด์ ให้ตั้งชื่อโมดูลระดับบนสุดที่กำหนดไว้ใน testbench ของคุณ คุณสามารถป้อนชื่อใดก็ได้ในฟิลด์ โดยค่าเริ่มต้น ชื่อจะถูกสร้างขึ้นโดยอัตโนมัติเหมือนกับชื่อของโมดูล

เพียงเท่านี้ เราก็ได้ตั้งค่าการทดสอบพื้นฐานแล้ว คลิกตกลงสองครั้ง ตอนนี้การทดสอบของเราได้ปรากฏในรายการดรอปดาวน์ของม้านั่งทดสอบ คลิกตกลงอีกครั้ง

เพิ่มม้านั่งทดสอบในโครงการ
เพิ่มม้านั่งทดสอบในโครงการ

ขั้นตอนที่ 4

หากคุณยังไม่ได้ทำการสังเคราะห์โปรเจ็กต์ ก็ถึงเวลาที่ต้องทำ เลือกการประมวลผล -> เริ่ม -> เริ่มการวิเคราะห์และการสังเคราะห์จากเมนู หรือกดคีย์ผสม Ctrl + K หรือเพียงคลิกไอคอนที่เกี่ยวข้องในแผงด้านบน

เราเปิดตัวการวิเคราะห์และสังเคราะห์โครงการ
เราเปิดตัวการวิเคราะห์และสังเคราะห์โครงการ

ขั้นตอนที่ 5

สามารถเริ่มการจำลองได้ เลือกเครื่องมือ -> เรียกใช้เครื่องมือจำลอง -> การจำลอง RTL จากเมนู (1) หรือคลิกไอคอนการจำลอง RTL ในแผงด้านบน (2)

เรียกใช้กระบวนการจำลองใน ModelSim
เรียกใช้กระบวนการจำลองใน ModelSim

ขั้นตอนที่ 6

เครื่องมือ ModelSim จะเริ่มทำงาน ซึ่งจะรันคำสั่งทั้งหมดที่เขียนใน testbench ของคุณและหยุด (หากคุณระบุสิ่งนี้ด้วยคำสั่ง $ stop ในรหัสทดสอบ) หน้าจอจะแสดงไดอะแกรมระดับของสัญญาณอินพุตและเอาต์พุต FPGA ที่คุณอธิบายไว้ในโปรเจ็กต์ของคุณ